Inicio : Extensiones de archivo : .sv File

.sv Extensión de archivo

Tipo de archivo SystemVerilog Source Code File

Desarrollador IEEE
Categoría Archivos de desarrollador
Formato Text

¿Para qué son los archivos SV?

Un archivo SV es un archivo de código fuente escrito en el lenguaje SystemVerilog, que es un superconjunto del lenguaje Verilog utilizado para especificar modelos de sistemas electrónicos. Contiene código fuente SystemVerilog.

Más información

Captura de pantalla de un archivo .sv en Sigasi Studio 3.8

Archivo SV abierto en Sigasi Studio 3.8

Puede abrir archivos SV en cualquier editor de texto. Sin embargo, es posible que desee utilizar un editor diseñado específicamente para manejar el código fuente de SystemVerilog, como Sigasi Studio o ModelSim.

SystemVerilog se utiliza en la industria de semiconductores y diseño electrónico. Es una combinación de características del lenguaje de descripción de hardware (HDL) y del lenguaje de verificación de hardware (HVL) con características de C y C++. Fue adoptado como el estándar IEEE 1800-2005 en 2005, el estándar IEEE 1800-2009 en 2009 y el IEEE 1800-2017 en 2017.

NOTA: IEEE significa Instituto de Ingenieros Eléctricos y Electrónicos.

Programas que abren archivos SV
Linux
Mentor Graphics ModelSim
Sigasi Studio

Acerca de los archivos SV

Nuestro objetivo es asistir a nuestros lectores a comprender el origen del archivo con la extensión *.sv, sus funciones y como abrirlo.

Tipo de archivo SystemVerilog Source Code File, el comando AbrirArchivos ha investigado y verificado individualmente las descripciones de software para Mac, Windows, Linux, Android y iOS enumeradas en esta página. Tenemos como objetivo ofrecer el 100% de precisión y publicamos solo información sobre los formatos de archivo que hemos comprobado.

Sin comentarios